產業新訊

新聞日期:2024/02/23  | 新聞來源:工商時報

基辛格鬆口 擴大下單台積電

二大次世代平台Arrow lake、Lunar lake的CPU Tile,交由台積N3B製程生產
矽谷報導
台積電創辦人張忠謀眼中最注意的對手-英特爾(INTEL)執行長基辛格22日首度鬆口,英特爾將擴大下單台積電,將2024年全新推出的二大次世代平台Arrow lake、Lunar lake之CPU Tile(晶片塊)交由台積電生產製造。
基辛格宣示2030年將成為全球第二大晶圓代工廠之目標,並宣布兩世代CPU Tile採台積電N3B製程生產,把製程節點提供給部分競爭對手,目標是填滿晶圓廠,為全球最廣泛的客戶群供貨,包含競爭對手輝達(NVIDIA)及超微(AMD)。
針對外傳美國政府考慮給予逾百億美元的補貼,他透露,近期很快就能獲得晶片法案補助,然具體金額尚待宣布。
 基辛格在美國聖荷西主持IFS Direct connect活動後接受媒體採訪,強調與台積電維持競合關係,讚譽台積電為偉大公司,但他強調,台灣位處地緣政治風險敏感區,追求晶片生產穩定及安全可靠,將是客戶所希冀。
 基辛格也證實擴大下單予台積電,確定台積電今年手握英特爾Arrow、Lunar lake之CPU、GPU、NPU等三大晶片訂單,並以N3B製程生產,正式迎來外界期盼多年英特爾筆電平台之CPU訂單。據英特爾產品路線圖所示,Arrow lake將採用Intel 20A、Lunar lake則為18A,並搭配PowerVia、RibbonFET之電晶體設計。
英特爾發展晶圓代工追趕台積電,兩雄之爭下,台積電總裁魏哲家於去年10月法說會時強調,台積電內部已確定自家N3P製程的PPA(Performance、Power及Area)約當競爭對手的18A製程,接下來的N2製程技術,推出時將會是業界最先進製程。
回顧過往,英特爾掌握主流平台CPU製造行之有年,這次由基辛格於IFS Direct connect活動中正式官宣此事,意義非凡,也是首度英特爾CPU Tile釋出予台積電生產製造;不過,英特爾也宣布微軟(MSFT)將採用Intel 18A流程打造新晶片;市場估計,未來雙方合作將更加緊密,啟動良性競爭循環。

新聞日期:2024/02/22  | 新聞來源:工商時報

Intel代工服務加速器聯盟 4台廠入列

矽谷報導
 晶圓先進製程越趨複雜,繼台積電打造OIP(開放創新平台)後,英特爾端出「代工服務加速器聯盟(Intel foundry Accelerator)」,結合半導體業者搶攻先進製程商機,台廠晶心科、M31、力旺及智原孫公司Aragio Solution均入列。
 英特爾執行長基辛格強調,代工服務加速器聯盟提供客戶頂尖的電子設計自動化(EDA)、晶片IP和設計服務,能與英特爾先進製程無縫接軌,通過深度協作,英特爾晶圓代工服務(IFS)加速器利用業界最佳功能,加速客戶在IFS製造平台上的創新。
 先進製程越趨複雜,晶圓代工廠須借助產業鏈夥伴加持,幫助客戶實現晶片產品構想,台積電便成立OIP(開放創新平台),涵蓋關鍵性的積體電路設計,降低設計時所遇障礙,至今超過1萬2,000個元件矽智財與資料庫。英特爾找上台廠打造聯盟,涵蓋晶心科、M31、力旺及智原孫公司Aragio Solution。
 2022年英特爾曾找來曾負責晶圓代工龍頭OIP的Suk Lee,擔任生態系統開發業務副總裁(Ecosystem Development VP),有超過33個生態系統供應商壯大英特爾加速器聯盟,台廠重要矽智財公司四家更是入內在列。
 此外,聯電總經理王石、聯發科北美總經理Eric Fisher皆出席站台。聯電指出,雙方合作開發12奈米製程平台,以因應行動、通訊基礎建設和網路等市場的快速成長。聯發科表示,2022年雙方宣布建立戰略合作夥伴關係,使用IFS的先進製程製造晶片,並表示IFS將為其系列智慧終端產品製造多種晶片。
 市場研判,12奈米將為聯電海外擴張、先進製程之前哨站,以其在成熟製程上豐富代工經驗,擴充製程組合,有望擴大合作範圍,英特爾將專注先進製程,聯電作為管理成熟技術角色,助全球客戶做出更好的採購決策。

新聞日期:2024/02/19  | 新聞來源:工商時報

台積熊本廠24日開幕

台北報導
 晶圓代工龍頭台積電海外布局首戰告捷,日本九州熊本廠將於24日(周六)舉行開幕典禮,見證台日雙方歷史一刻。台積電創辦人張忠謀將親臨現場,親自參與台積37年來成長茁壯以來,跨出海外重要的一步。日本方面,除首相岸田文雄之外,供應鏈業者透露,JASM股東索尼半導體、電裝株式會社,以及豐田汽車都將派高層代表出席,迎接日本半導體業重返榮耀。
 台積電海外大擴張首站選定文化背景相似的日本,取得重大進展,JASM於熊本縣菊陽町的辦公大樓去年8月初竣工,已有多達400名台籍工程師及眷屬在地深耕,未來JASM晶圓廠預計在當地創造1,700個工作機會。
 JASM是台積電與Sony索尼半導體、電裝株式會社(DENSO)在2022年合資成立的日本先進半導體製造公司;本月初再引入豐田汽車入股投資,顯見日本核心企業重視台積電的價值,未來有望循此模式加入其他日本知名企業。
 日本政府對晶片補貼政策也不手軟,力圖重振昔日半導體雄風,台積電為國際晶圓代工龍頭,帶頭加碼投資起到關鍵作用,熊本即將再建第二座晶圓廠,補貼金額由三分之一起跳,第三、四廠的設置也在考慮中。
 台積電則強調,公司的全球製造版圖擴張策略,主要基於客戶需求、商機、營運效率、政府支持程度及經濟成本等考量。
 業界指出,JASM股東同時將會是台積電客戶,包含Sony、豐田汽車在內,都尋求先進製程技術支持。其中,豐田汽車作為全球最大傳統車廠,直接注資台積電,代表著車用半導體為其未來一大成長契機。
 日本半導體產業輝煌過、衰落過,但始終於半導體上游擁有極佳的基礎及實力,例如電子被動元件領域,村田和TDK兩家佔據全球近80%份額;半導體的19種主要材料中,日本有14種市占率超過50%,最新一代EUV光刻膠領域,日本3家企業申請了行業80%以上的專利,一旦攜手台積電後,半導體榮景重振可期。

新聞日期:2024/02/15  | 新聞來源:工商時報

台積電資本支出 維持歷史高檔

先進製程設備廠 商機滾滾
台北報導
 台積電在法說會中,宣布資本支出280~320億美元,並加碼先進製程在台灣的投資金額,半導體設備相關供應鏈廠商,包括家登、漢唐、弘塑、萬潤、志聖、群翊、科嶠等,可望受惠商機滾滾而來。
 台積電2024年資本支出約介於280億~320億美元,雖不若先前金額高,但仍然維持歷史高檔,且投資腳步不停歇,資金八成用在先進製程及光罩,10%用於特殊製程,10%用於先進封裝,未來資本支出有機會維持30%年成長。
 台積電資本支出的亮點,在於後段的先進封裝,市場對於CoWos及SOIC需求強烈。法人預期,先進製程及封測相關設備廠商將最為受惠。
 其中,家登在EUV(極紫外光)光罩盒市占率達約7成,EUV微影技術已成先進製程標配,業內分析,台積電跨入新一製程世代,每片晶圓所採用的EUV光罩層數也越來越多,看好家登受惠程度將持續擴大。
 弘塑為CoWoS相關設備廠商,該公司主要供貨自動濕式清洗機台(Wet Bench)、單晶圓旋轉清洗機(Single Wafer Spin Processor)、複合機台(COMBO),市場法人表示,該公司CoWoS相關訂單,已從2023年第四季開始陸續交機,預計自2024年3月開始入帳,2024年邁入出貨高峰。
 漢唐是半導體相關機電工程廠,該公司近幾年除了在台灣訂單穩健成長,也接獲美國大單,中國大陸、新加坡等仍是漢唐的重要外銷市場,因此,未來若半導體廠在中國大陸擴產受限,該公司外銷也可能受到牽動。
 萬潤表示,該公司看好2.5D封裝是未來趨勢,這幾年來,萬潤耗費大量人力投入2.5D封裝製程設備,甚至進一步投入3D先進封裝,也由於2024年先進封裝需求可望釋出,萬潤預期將是該公司重要營運動能。

新聞日期:2024/01/31  | 新聞來源:工商時報

聯發科放大絕戰高通

第四季天璣9400誕生 台積電3奈米助產
台北報導
 聯發科30日舉行竹北辦公大樓動工典禮,譽為台灣科技新地標,董事長蔡明介強調,辦公大樓預計於2027年完工,為聯發科一大里程碑。執行長蔡力行指出,旗艦級天璣9300晶片取得巨大成功,對AI手機換機潮深具信心,今年將推出天璣9400,採用台積電3奈米製程,將超越9300、再創高峰。
 搶先於31日法說會前夕舉行辦公大樓動工典禮,聯發科扛過半導體逆風週期,2024年將迎來復甦成長。新辦公大樓位處新竹高鐵精華地段,預計建置地上12層、地下5層大樓,估計將於2027年落成,未來將可容納3.000人,是聯發科根留台灣、放眼世界之重要里程碑。
 聯發科在新竹、內湖及台南設立營運據點,中國、英國、芬蘭、德國及印度等地均建置研發或營運基地。蔡明介對台灣科技業充滿信心,尤其在AI帶動之下,他期待政府提供IC設計產業更好的政策支持。聯發科為目前採用台積電3奈米之唯一台廠,3奈米訂單也會全部交由台積電代工,在雙引擎推動下,台灣以科技硬實力扮演帶領全球經濟發展之要角。
 蔡力行透露,今年第四季將推天璣9400,以台積電N3打造,有信心再創高峰。據傳高通Snapdragon(驍龍)8 Gen 4 CPU將以全自主架構設計之Oryon核心、AI引擎將迭代至HTP5,在AI PC方面,高通也率先推出X Elite Arm PC,市場期待聯發科能端出殺手級產品對抗。
 此外,聯發科攜手地表最強GPU夥伴輝達,除在汽車領域共同打造智慧座艙外,也合攻PC處理器。據供應鏈透露,試驗晶片(tape-out)第二季完成,如順利,明年即進入量產,市場猜測這將是輝達執行長黃仁勳下次來台宣布的重大消息。
 聯發科景氣展望樂觀,30日外資回補1,200張,股價大漲25元以963元作收,有望重返千金股。
 公司表示,台灣ICT上下游供應鏈俱全、備有相當優勢,只要核心(Core)造出來,零組件廠商將全力配合,產品便可快速投入市場。
政院擬打造桃竹苗大矽谷
 行政院副院長鄭文燦在出席聯發科辦公大樓開工典禮時加碼,強調晶創台灣計畫有望將120億元預算調整放大,全力支持IC設計產業。行政院正草擬「桃竹苗大矽谷計劃」,確保台廠競爭力。目前還有大A+計畫、產創條例10-2的投資抵減機制,IC設計產業仍然需要更大支持,才能夠往更高的製程走。
 相關業者則透露,平均一片3奈米製程代工費用約2萬美元,迭代至2奈米更逼近3萬美元,台灣僅剩少數晶片業者持續投入,聯發科便是其中之一。
 晶創台灣計畫自2024~2033年挹注3,000億元經費,今年120億元上膛,運用半導體晶片製造與封測領先優勢,延伸至前端IC設計,並結合生成式AI等關鍵技術發展創新應用。

新聞日期:2024/01/25  | 新聞來源:工商時報

英特爾 締盟約聯電 挑戰台積電

台北報導
 英特爾卡位半導體「埃米時代」布局成形,該公司為迎戰台積電,25日與聯電宣布攜手,將合作開發12奈米製程平台,並拍板於亞利桑那州開發、製造,預計2027年投產,聯電成為第二家前往美國亞利桑那布局的台灣晶圓代工廠。聯電ADR早盤大漲2.26%。
 英特爾資深副總裁暨晶圓代工服務(IFS)總經理Stuart Pann表示,與聯電的策略合作展示了英特爾對台灣生態系的承諾,也是實現英特爾在2030年成為全球第二大晶圓代工廠重要一步。
 外傳英特爾此次再找上聯電技轉12奈米Arm架構,為聯合次要敵人打擊主要敵人的手法,將成熟製程委由聯電代工,全力搶攻高階製程技術,達到英特爾總裁基辛格「彎道超車」台積電的目標。
 供應鏈對此表示,英特爾可能正在為晶圓代工業務做完全拆分鋪路,率先尋找各項IP技術授權及因應成長需求預先準備。
 新製程將在英特爾位於美國亞利桑那州Ocotillo Technology Fabrication的12、22和32廠進行開發和製造,透過運用晶圓廠的現有設備將可大幅降低前期投資,並最佳化利用率。
 外傳本次聯盟的授權金上看100億元以上,聯電的海外布局再增美國新廠區,可望擠進全球晶圓代工大廠之林,2027年後營運將三級跳。
 聯電表示,此項12奈米製程將善用英特爾於美國的大規模製造能力和FinFET電晶體設計經驗,提供兼具成熟度、性能和能耗效率的強大組合。受惠於聯電在製程上的領導地位,以及為客戶提供PDK及設計支援方面的數十年經驗,得以更有效地提供晶圓代工服務。
 雙方將透過生態系合作夥伴提供的電子設計自動化(EDA)和智慧財產權(IP)解決方案,合作支援12奈米製程的設計實現(design enablement)。此12奈米製程預計在2027年投入生產。
 英特爾Stuart Pann表示,隨著英特爾推動企業轉型、落實IDM 2.0和四年五個節點的策略,我們持續探索與台灣公司合作的新機會。與聯電的策略合作,是實現英特爾在2030年成為全球第二大晶圓代工廠重要一步。
 聯電共同總經理王石也表示,聯電與英特爾進行在美國製造的12 奈米FinFET製程合作,是追求具成本效益的產能擴張,和技術節點升級策略的重要一環,這項合作將協助客戶順利升級到此關鍵技術節點,並利用雙方的互補優勢,以擴大潛在市場,同時大幅加快技術發展時程。

新聞日期:2024/01/21  | 新聞來源:經濟日報

台積1奈米廠傳落腳嘉義

新建設再添一樁 已提出100公頃用地需求 總投資額估逾兆元 公司:以對外公告為準
【台北報導】
台積電加速設廠腳步,傳出要將最先進的1奈米製程落腳嘉義科學園區。這是台積電繼日前法說會釋出要在高雄增建第三座2奈米廠後,又一樁台灣新廠建設。業界估,台積電1奈米總投資額將逾兆元。

對於相關傳聞,台積電表示,選擇設廠地點有諸多考量因素,台積電以台灣作為主要基地,不排除任何可能性,也持續與管理局合作評估合適的半導體建廠用地。一切資訊請以公司對外公告為主。

消息人士透露,台積電已向主管嘉科的南科管理局提出100公頃用地需求,其中40公頃將先設立先進封裝廠,後續的60公頃將作為1奈米建廠用地。

由於台積電用地需求已超出嘉義科學園區第一期規畫的88公頃面積,預期將加速第二期擴編開發,以利台積電進駐設廠。

業界人士分析,台積電在總統大選後宣布高雄擴建第三座2奈米廠、美國二廠踩煞車、1奈米落腳嘉義,似乎顯示台積電並不在意國際間認為兩岸地緣政治緊張的狀況,宣示將先進製程根留台灣的決心。

此舉不僅向全球晶片商表達「你要最具性價比且最先進的晶片代工,就是要來台灣生產」,也向三星及英特爾展現台積電擁有全球最完善的晶圓代工生態系,加上政策強力支持,要撼動台積電全球晶圓代工龍頭地位,套句台積電總裁魏哲家的話「門都沒有!」

據調查,台積電建廠小組是在嘉義科學園區去年8月編定納入南科管理局管轄的科學園區前,即派人前往進行廠勘,這也是在進駐桃園龍潭科學園區第三期擴建遭遇激烈抗爭後,台積電建廠小組啟動備案計畫。

台積電放棄龍潭建廠後,立即引來包括高雄、台中、彰化、嘉義、雲林、台南及屏東等各縣市首長爭相拉攏前去投資,各地方政府也都表明將在土地和供水供電全力協助。嘉義縣長翁章梁當時即表態,若台積電要到嘉義評估,他將擔任相關召集人,並組專業小組全力協助,並強調該縣有很多台糖土地,未來徵收不會有太大問題。

不過,台積電長期向國科會主管的管理局租地,雙方建立單一窗口,最後擇定落腳嘉義科學園區,主要是因為此科學園區地理位置佳、 土地面積完整 ,並具可擴充性,這與翁章樑說法相吻合。

其次,1奈米廠落腳嘉科,可分散區域風險,也有利嘉義縣城市發展,縮小城鄉差距。再者,嘉義科學園區離嘉義高鐵站車程僅七分鐘,往北串起台積電中科、竹科廠,往南串連南科廠及高雄廠,均符合稍早台積電創辦人張忠謀所提可在一日內動員上千名工程師支援各廠區運作,讓台灣西部科技廊帶更完整。

【2024-01-22/經濟日報/A3版/話題】

新聞日期:2024/01/19  | 新聞來源:工商時報

台積今年營收估增25%

法說會喜訊連環報!聚焦先進製程,強調今年資本支出約280億~320億美元
 台北報導
 台積電18日舉行法說會,2023年第四季每股稅後純益(EPS)9.21元優於市場預期,全年EPS 32.34元,創史上次高。總裁魏哲家表示,台積電製程仍居領先地位,受惠於AI、HPC需求帶動,2024年資本支出預估約280~320億美元,全年營收有望繳出年增21~25%的成績單。
受惠台積電財報亮眼的激勵,美股掛牌的ADR 18日早盤一度大漲8.49%達111.62美元,創今年來新高價位。
 展望第一季,儘管步入傳統淡季,但受惠AI、HPC等需求增溫,季減幅優於往年,台積電預估單季營收介於180~188億美元,季減6.3%,毛利率52~54%,較去年第四季持平。
 台積電去年第四季先進製程占比67%,三奈米占比更是突飛猛進達15%,全年占比達6%。魏哲家強調,台積電會在重要時間點做正確的選擇,未來先進製程占比將超過8成;二奈米強於同期之三奈米,以奈米片(Nanosheet)電晶體結構將如期於2025年進入量產。
 魏哲家認為,2024年半導體庫存可望重回健康水準,儘管受到總經與地緣政治影響,今年台積電營收將逐季成長,合併營收年增20~25%,資本支出預估將為280~320億美元,並維持過往70~80%用於先進製程,10%用於先進封裝、測試與光罩。
 魏哲家強調,估計今年三奈米技術所貢獻之營收將成長三倍以上,約占台積電營收比重15%,未來將進一步持續強化N3技術,包括N3P和N3X製程,預期N3家族將成為台積電另一個大規模、且具有長期需求的製程節點。
 他指出,隨著二奈米和三奈米製程技術的貢獻在未來幾年漸增,先進製程占比只會繼續增加,將以成熟製程不超過20%為目標。目前台積電二奈米客戶數量比起三奈米在同一個開發點的還要多,N2在2025推出時,將會是具最高密度與效率的最先進製程。
 至於競爭對手叫陣,魏哲家回應,對手之18A約當台積電N3P,不過當18A推出時台積電的N3P已經約當有三年的生產經驗,產量大、客戶數多,幾乎所有Fabless(無晶圓廠)都是台積電客戶。
 台積電去年第四季的營收約6,255億元,稅後純益為2,387億元,毛利率為53%,EPS 9.21元,創去年單季新高。台積電先進製程第四季銷售金額達67%,其中,三奈米占15%,較第三季翻倍成長,五奈米占35%、七奈米占17%,已正式擺脫成熟製程價格波動影響。

新聞日期:2024/01/17  | 新聞來源:工商時報

台積催快2奈米 寶山4月裝機

向三星及英特爾新世代製程宣戰,預計2025年量產
台北報導
 全球晶圓代工先進製程戰再起,台積電2奈米製程的竹科寶山P1晶圓廠,最快於4月份進行設備安裝工程,並全新採用GAA(全柵極環繞)電晶體架構,預計2025年量產。此外,寶山P2與高雄廠預估於2025年加入擴產,中科二期也進行評估,向三星及英特爾新世代製程宣戰。
 半導體業者表示,全球晶圓代工先進製程持續推進,競爭對手三星提早於3奈米進入GAA架構,雖然良率表現未達標,然已具備量產經驗;英特爾則預計今年RibbonFET架構之20A就將進入量產。因應競爭對手來勢洶洶,台積電必須加緊腳步。「全環繞柵極 (gate-all-around、簡稱GAA)」技術,是決定半導體處理能力在1年半~2年是否倍增的重要技術。
三星企圖彎道超車,率先於3奈米晶片採用,是首家從傳統FinFET轉換的業者,然而良率穩定度不佳,客戶並未買單,也讓台積電3奈米底氣十足;這也進一步顯示,從2D走向3D的晶片設計,GAA電晶體架構難度陡升。
 除外,英特爾追趕腳步也加快,據規劃,今年上半年Intel 20A、下半年 Intel 18A都將推出;不過外界預估,Intel 20A僅供英特爾自家產品使用,不會主動提供IFS客戶。因此推斷,英特爾會持續與台積電保持緊密的合作關係。
 台積電基於穩健考量,於相同的製程技術與製造流程下,不用變動太多的生產工具,能有較具優勢的成本結構;對客戶而言,在先進製程的開發中,變更設計,都會是龐大的時間和經濟成本。
 供應鏈表示,台積電2奈米於去年底確定各式參數,特化氣體、設備等供應商也大致確認,並逐步展開簽約,今年4月將開始於寶山P1廠裝機。相關設備業者透露,台積電製程推進如預期快速進行,推測寶山P2也會在今年有消息。
 3奈米以下先進製程,未來也需要具備先進封裝的chiplet概念、成為必要的解決方案。供應鏈更指出,台積電正採取革命性方法來建立智慧SoIC和先進封裝 (InFO/CoWoS) 整合型智慧工廠;其中,將配備獨特的內部開發製造系統-「SiView Plus」的混合前端矽(SiView)和封裝(AsmView)系統,一併整合先進製程、先進封裝,為客戶提供最佳服務。

新聞日期:2024/01/11  | 新聞來源:工商時報

今股利發放 台積2023營收 優於預期

台北報導
 晶圓代工龍頭台積電受到淡季效應影響,2023年12月合併營收年月雙減,單月營收收斂至1,763億元,月減14.4%、年減8.4%;全年合併營收2.16兆元,年減4.5%,創歷史次高紀錄。法人指出,隨消費性電子需求全面回溫與HPC(高效能運算)市占率攀升,將帶動台積電2024年營運重回成長軌道。
 此外,台積電去年第二季配發3元現金股利,於去年12月14日除息,11日股利發放,將迎來777.9億元銀彈,有助於投資人回補力道。
 本季步入半導體傳統淡季,法人分析,台積電受惠三奈米製程產能擴張、單價提升,及蘋果M3晶片及英特爾外包訂單加持,單季營收有機會優於過往季節性影響,隨國際客戶持續導入,整體營收將在今年上半年趨於穩定。
 惟法人認為台積電三奈米折舊恐稀釋獲利,毛利率、獲利率將承壓,熊本廠對獲利表現是否加分仍待考驗。台積電去年第四季合併營收寫逐月遞減趨勢,單季合併營收仍達6,255億元,接近公司財測區間上緣,(財測目標188億美元至196億美元,匯價以32換算,財測上緣為6,272億元)。受惠智慧型手機需求轉強及三奈米製程貢獻成長,帶動產能利用率提升,繳出季增14.4%之佳績;然新台幣續強恐有匯損疑慮,將影響單季每股稅後純益(EPS)表現。
 台積電2023年合併營收年減4.5%,法人指出,相較原財報預估的雙位數衰退,繳出優預期的成績單,顯見市場領先地位;過往首季多出現季減高個位數至雙位數的慣性,今年有機會隨三奈米產能放量減緩。
 台積電18日召開法說會,市場期待去年獲利能繳出亮眼的成績單。台積電去年前三季每股稅後純益(EPS)23.13元,雖遠低於2022年39.2元水準,但仍有機會創下史上次高的紀錄。

×
回到最上方