產業新訊

新聞日期:2023/12/04  | 新聞來源:經濟日報

先進封裝…美中科技新戰場

【文╱編譯任中原】
美國政府為壓制中國科技進展採雙管齊下的手段,一面限制中國大陸取得尖端晶片,一面強化美國的晶片生產。現在又更進一步聚焦於先進封裝科技,向中國大陸進一步施壓。

但並非只有美國體認到先進封裝的潛力,中國大陸也在這個尚未受到制裁的領域投入鉅資,以緊抓全球市占,在高端晶片製造受限的情況下繼續在科技領域取得進展。

陸砸重金拚市占

Tirias Research創辦人兼科技分析家麥克葛瑞戈表示,「封裝是半導體業創新的新支柱」。對大陸而言,雖然目前尚未擁有最先進的封裝能力,但因為尚未受到美國政府管制,「確定將更容易上升」。他強調,「封裝將能有助於中國縮小差距」。

所謂封裝,就是將晶片包覆在一種材料之中,既能保護晶片,也使晶片能夠與其他電子裝置連接。直到不久之前,封裝業務仍被認為是半導體產業的後段工作,因此業者多將封裝業務外包,主要是委託亞洲廠商,而中國大陸是主要的受益者。據英特爾指出,今天美國的封裝產能只占全世界的3%。

然而封裝業務驟然間遍地開花。英特爾將依賴封裝做為恢復競爭力的核心要項,中國認為這是擴張國內半導體能力的法門,而現在華府也計劃使封裝工作能夠自給自足。

拜登政府在《晶片與科學法》實施一年多之後,又為國家先進封裝製造計畫提列30億美元經費。商務部次長羅卡西奧表示,目標是在2020年代結束之前設立多座高產量的封裝設施,並降低對亞洲供應鏈的依賴,因為這是美國「毫不容許」的安全風險。

搶產業領導地位

一位白宮官員表示,拜登「以確保美國在所有半導體製造領域都居於領導地位為優先要務,其中先進封裝是最刺激且關鍵的領域」。

由於先進封裝已迅速成為全球晶片衝突的新戰線,因此部分人士表示美國的覺悟已經太晚。眾議員奧伯諾特指出,政府直到現在才聚焦於利用補助來把晶片製造業拉回美國,但「我們絕不能忽視封裝,因為兩者不可或缺。如果我們100%的晶片都在國內製造,但封裝仍在國外進行,根本就是做白工」。

封裝測試被認為是晶片製造的「尾巴」,一向被認為沒什麼要緊,因為與製造晶片這項「前端」工作相比,封裝的創新度及附加價值都低。但由於封裝科技的精密度不斷提昇,使晶片相互結合、堆疊且提升功率,因此業界主管表示封裝的重要性已經達到轉捩點。

先進封裝雖不能讓中國大陸在尖端半導體開發領域跟美國力拚,但能讓大陸業者將不同的晶片嚴絲合縫地結合在一起,產生更快速、更便宜的運算系統。如此一來,大陸將不必耗費鉅資來鑽研最先進的晶片科技,轉而使較過時、更便宜的科技來製造晶片,而將多枚晶片封裝在一起,來落實其他功能,例如電池管理及偵測器控管等。

彭博行業研究分析師Charles Shum表示,這是一項「關鍵性的解方。不僅能加快晶片的處理速度,更重要的是能讓不同的晶片無縫整合」。如此一來,「將重新塑造半導體製造業的格局」。

尋求關鍵性解方

大陸當局一直視晶片封裝科技為策略性的優先要務,被納入習近平於2015年宣布的「中國製造」計畫之內。美國半導體業協會的數據顯示,中國大陸在全世界晶片封裝測試市場的市占率高達38%,全球無出其右。儘管大陸在先進科技領域落後於台灣及美國,但分析家普遍認為這與晶圓處理不同,中國大陸急起直追的態勢要強得多。

大陸在晶片「後段工作」的能力一向是以量取勝,主要業者江蘇長電科技公司的營收居世界第三,次於台灣的日月光集團與美國的艾克爾科技公司。再者,大陸業者持續搶攻市占率,包括長電公司併購新加坡的先進設施,並且在大本營江陰市建立先進封裝廠。

蒙田研究所(Institut Montaigne)科技地緣政治專家杜查提爾表示,「對中國而言,先進封裝是繞過科技管制的一條要道,因為截至目前為止這還是大家都在投資的安全空間」。但現在華府已被打動,因為美國一直設法不讓中國大陸取得可能用於軍事的先進運算科技,但現在能否成功已成問題。

當今年9月華為科技公司默默推出Mate 60 Pro手機時,華府的中國「鷹派」人士質疑為何出口管制措施未能防止中國科技達到超出美國預想的進展。商務部長雷蒙多在國會答詢時,雖聚焦於否認中國大陸 取得先進晶片及設備,但她也強調先進封裝。她表示,美國必須強化本身的先進封裝能力,因為「晶片能夠做到這麼小,意味著一切祕方就在於封裝」。

美國之所以突然聚焦於先進封裝,原因在於人工智慧應用需要高效率的晶片。事實上其他業者無法做到「把晶片堆疊起來並封裝在基板上(CoWoS)」,是業者不能製造出輝達AI晶片的關鍵瓶頸所在。

台積電今年夏天承諾將投資30億美元於封裝廠,以緩解此一障礙。執行長魏哲家在第2季法說會表示,台積電計劃在年底前將CoWoS產能擴張一倍。台積電先進封裝技術副總經理何軍10月表示,儘管台積致力於這項科技達12年,但直到今年才起飛。他說,台積電正瘋狂擴建產能,連在星巴克都有人在談CoWoS。

台積電擴建產能

不只台積電如此。美光也投入27.5億美元,在印度建立晶片後端設施;英特爾已同意斥資46億美元在波特蘭設立晶片封測廠,並投資70億美元於馬來西亞的先進封裝廠,並在愛爾蘭及波蘭廠擴充先進封裝產能。南韓海力士去年表示計劃對設在美國的封裝廠投資150億美元。

有些分析家預測先進封裝業者將出現「火箭砲」似的發展。據麥肯錫集團指出,用於資料中心、AI加速器及消費性電子產品領域的高效率晶片,將為先進封裝科技創造最大的需求。

Jeffries集團分析師9月發表的報告指出,未來18個月使用先進封裝科技的晶片交貨量,預料將是目前的十倍;一旦成為手機使用的標準晶片,則可能增加百倍,並將這項科技列為晶片業的「結構性轉變」。

原因之一就是晶片製造已經逼近物理極限。過去50年來晶片不斷提昇,主要是透過生產科技進步,也就是所謂的「摩爾定律」;但現在科技進步之路即將面臨根本障礙,使晶片更難進步,成本也愈來愈昂貴。於是晶片業開始更依賴封裝技術來接手。

許多晶片設計師與企業不再把更小的組件擠在一枚晶圓上,而是宣揚模組方式的好處。把多枚「小晶片」封裝在一起,用來製造產品。正因為如此,荷蘭專門生產封裝工具的BE半導體工業公司股價在過去12個月內上漲一倍,總市場約達98億美元,漲幅比費城半導體指數高出兩倍。

大陸業者也湧入此一領域,包括中芯國際、芯原微電子與華為。這些公司看好先進封裝製程能夠提升晶片效率的潛力,不需要靠國外的最先進的前端製程。

美賣力吸引投資

美國商務部國家標準暨技術研究院9月報告指出,中國大陸的組裝、封裝、測試(APT)服務「目前在全球供應鏈上扮演不可或缺的角色,且「無法被輕易取代」。弔詭的是美國吸引台積電及三星等晶片業者來美國設廠,並不能確保美國能自給自足,因為美國目前缺乏封裝產能,如此一來這些半導體廠生產的晶片,必須運到亞洲封裝,最可能是在台灣封裝。

IBM全球企業系統開發副總裁賀根羅瑟表示,先進封裝相對遭到「忽視」。他主張政府應協助業者在十年內將封裝能量提升到全球的10-15%,最好是達到25%,以確保供應鏈安全。

【2023-12-04/經濟日報/P05版/經濟彭博周報封面故事】

新聞日期:2023/11/21  | 新聞來源:經濟日報

萬潤打入台積CoWoS鏈

石墨薄膜機台獲青睞 明年2月起可望放量出貨
【台北報導】
半導體設備商萬潤(6187)傳出成功以石墨薄膜機台,打入台積電CoWoS先進封裝供應鏈,可望從明年2月開始供貨至台積電台中廠。法人指出,由於散熱性在先進封裝應用至關重要,預期在台積電CoWoS全面擴產效應下,萬潤出貨動能可望旺到明年底。

業界分析,過往晶片沒有使用先進封裝時,運算晶片僅單獨貼合在基板當中,後續才會去整合在PCB上,因此運算晶片與記憶體或電源管理IC,甚至是電容電感等其他元件都保持極大距離,可讓運算晶片散熱並不是半導體製程需要考慮的問題,透過外加風扇或散熱膏等外加元件即可有效散熱。

進入先進封裝世代後,CoWoS先進封裝技術由於需要多顆小晶片作2.5D╱3D貼合,以達到高頻高速的運算效能,但由於小晶片直接與小晶片結合情況下,在晶片高速運算的同時,原先保持在極遠距離、可各自散熱的運算晶片及記憶體晶片,兩者發出的熱能若沒有以妥當方式散熱,這時就會讓晶片效能降速,無法達到原先預期的運算速度,使AI運算效能大幅降低。

台積電先進封裝技術傳出將會以石墨薄膜技術添加到晶片與均熱片之間,以強化散熱技術。業界傳出,萬潤成功以石墨薄膜機台打入台積電CoWoS先進封裝供應鏈,預期明年2月就會開始放量出貨至台積電台中廠。

萬潤10月合併營收1.17億元,為近13個月高點,月增1%,年增1.1%;前十月合併營收為9.53億元、年減54.1%。法人認為,由於散熱技術將會是未來先進封裝的焦點,看好萬潤明年2月出貨後,出貨動能有望不斷擴大。

【2023-11-21/經濟日報/C3版/市場焦點】

新聞日期:2023/11/17  | 新聞來源:經濟日報

矽格接單熱 營運進補

手機、PC需求暢旺+AI商機噴發 挹注動能 轉投資台星科同步報喜
【台北報導】
IC封測廠矽格(6257)與轉投資台星科接單同步報喜。矽格近期手機、PC急單湧現之際,更搭上AI熱潮,迎來AI手機晶片測試時間較5G晶片翻倍的龐大商機,正升級機台以因應訂單需求,業績吞大補丸;台星科則再拿下兩大高速運算(HPC)客戶,挹注營運可期。

矽格與台星科昨(16)日召開聯合法說會,釋出以上訊息。談到營運策略,矽格總座葉燦鍊透露,矽格明年有三大方向,首先是提升新產品如AI、車用與高速運算產品線的營收比重,其次是提高國外客戶占比,最後是提升整體產能利用率,藉此帶動營收與獲利表現。

葉燦鍊指出,現階段觀察到客戶在手機、PC方面有急單出現,而AI、高速運算等市場持續成長,儘管客戶仍對庫存抱持相對謹慎態度,核心半導體晶片需求仍將與新應用朝增長的方向邁進,若沒有不可預期的事件下發生,看好2024年PC換機潮、AI手機興起等趨勢,將帶動產業掀起一波新藍海市場。

因應未來半導體需求強勁成長,矽格將啟動中興三廠新建計畫,目前粗估不含設備的基礎建設資本支出約30億元,預計2026年第4季或2027年第1季量產。

據悉,矽格中興三廠規畫打造運用AI、自動化的先進智慧化生產線,總計地上七層、地下二層且每層1,200坪的廠房,可針對客戶AI、高速運算等產品提供高階測試服務,待產能滿載後,每個月可增加四億產能。

台星科方面,總經理翁志立透露,今年拿下兩家高速運算新客戶,由於客戶對高階封裝需求熱絡,正積極儲備產能滿足AI需求。

翁志立強調,台星科長期耕耘先進封裝,不僅3奈米晶片將導入量產,同時瞄準AI上升趨勢確立,也開發大尺寸晶片封裝量產技術,將持續以區塊鏈製程為基礎,拓展大數據、雲端運算以及AI領域,擴展封裝 / 測試完整解決方案(Turnkey)服務製程。

【2023-11-17/經濟日報/C3版/市場焦點】

新聞日期:2023/11/06  | 新聞來源:工商時報

日月光:半導體業決戰矽光子

台北報導
 封測大廠日月光投控執行長吳田玉3日表示,台灣半導體產業在摩爾定律世代掌握產業及發展優勢,矽光子技術將未來全球半導體五~十年的關鍵技術,他呼籲台灣目前掌握半導體供應鏈優勢,應結合業界研發實力,將半導體優勢延續到下一世代的矽光子世代。
 另外在先進封裝領域,吳田玉提到,近期CoWoS(Chipon Wafer on Substrate)封裝是市場相當關注的重點,日月光本身也布局先進封裝,與台積電過去、現在和未來都是密切合作夥伴,在智慧生產布局方面,吳田玉指出,日月光至年底估計將擁有46座智慧工廠,在自動化產線軟硬體布局完全自主化。
 吳田玉指出,全球半導體過去幾十年以摩爾定律發展,台灣在摩爾世代是半導體產業數十年發展下來的最大贏家,他強調,可能成為半導體產業下一世代技術的矽光子技術,目前仍在持續研發中,若台灣能夠讓矽光子技術在台灣著床、發展,未來將可以穩固台灣在過去半導體產業的優勢。
 以台灣的半導體產業在全球發展來看,吳田玉指出,很幸運的是,半導體前段的晶圓代工生產是台灣的台積電、後段的封裝測試是日月光,都是台灣的半導體廠,而整體半導體供應鏈的完整更是全球僅見,但美國是最早開始研發矽光子的國家,而台積電和日月光也都投入研發十餘年了,因此,未來如何領先掌握矽光子技術,和未來10、20年的產業發展有絕對的關係。
 吳田玉進一步指出,台灣目前也有不少上下游廠商投入矽光子研發,供應鏈廠商之間應該更開放的相互合作,並進一步將已掌握的技術進行整合,才有機會讓台灣在下個世代半導體發展維持優勢。
 對於近期市場關注,台灣發展半導體產業但土地使用不易取得的問題,吳田玉認為,應以提高土地的使用效率來思考,舉例來說,2019年全球爆發疫情,當時日月光高雄廠有2.6萬人,目前該廠還是2.6萬人,但該廠的營收卻大幅成長,包括產業升級、技術升級等,都是可以努力的方向。

新聞日期:2023/11/01  | 新聞來源:工商時報

AI大運算時代 聯電揪團 推3D封裝專案

台北報導
 晶圓代工大廠聯電昨(31)日宣佈,已與合作夥伴華邦電子、智原科技、日月光半導體和Cadence成立晶圓對晶圓(wafer-to-wafer, W2W)3D IC專案,協助客戶加速3D封裝產品的生產。
 此項合作案是利用矽堆疊技術,整合記憶體及處理器,提供一站式的堆疊封裝平台,以因應AI從雲端運算延伸到邊緣運算趨勢下,對元件層面高效運算不斷增加的需求。
 此項與供應鏈夥伴共同推動的W2W 3D IC專案,目標在為邊緣運算AI應用於家用、工業物聯網、安全和智慧基礎設施等,對中高階運算力、可客製記憶體模組、及較低功耗的需求提供解決方案。該平台預計在2024年完成系統級驗證後就位,為客戶提供無縫接軌的製程。平台將解決各種異質整合之挑戰,包括邏輯和記憶體晶圓廠晶圓疊層規則的一致性、垂直晶圓整合的有效設計流程、及經過驗證的封裝和測試路徑。
 聯電前瞻發展辦公室暨研發副總經理洪圭鈞表示,透過此項跨供應鏈垂直整合的合作專案,聯電很榮幸與產業領導廠商一起,運用先進的異質整合W2W技術來協助客戶,達成3D IC在性能、尺寸和成本上具有的優勢,滿足新興應用的需求。
 洪圭鈞也指出,異質整合將持續推進超越摩爾時代的半導體創新界限,聯電期待以優異的CMOS晶圓製造能力與先進的封裝解決方案,促成產業生態系統的完整發展。
 日月光研發中心副總洪志斌博士則是表示,身為半導體生態系統的一員,日月光盡全力於與供應鏈夥伴合作,協助客戶優化其半導體設計和製造的效率。此項合作有助加速客戶的上市時間,同時透過整合技術之開發,實現在AI時代的卓越應用,確保獲利持續成長。
 華邦電記憶體產品事業群副總經理范祥雲指出,隨著AI持續從資料中心擴展到邊緣運算,邊緣設備將需要更高的記憶體頻寬來處理日益增加的資料工作負載。華邦電提供的客製化超高頻寬元件(CUBE)將使客戶能夠將定製的DRAM整合到3D封裝中,實現最佳的邊緣運算AI性能。

新聞日期:2023/10/23  | 新聞來源:工商時報

記憶體看漲 南茂菱生Q4續熱

台北報導
 記憶體大廠擴大減產規模,不僅帶動現貨價走揚,DRAM與NAND Flash合約價格也可望上漲,記憶體市況正向發展,國內主要記憶體封測廠南茂(8150)及菱生(2369)第三季營收雙雙寫下近五季高峰,近期股價同步走強,市場看好兩家公司第四季營收可望進一步向上攀今年單季新高。
記憶體產業可望回升下,美國記憶體大廠美光近期股價走勢,相較美股其他科技股強勁。
 該公司指出,由於供應減少、客戶庫存正常化與需求持續成長,預期產業在2024年轉佳,使得記憶體股重新成為市場焦點。
而記憶體大廠擴大減產規模,不僅帶動現貨價走揚,DRAM與NAND Flash合約價格也可望上漲,記憶體市況正向發展,不僅帶動台系記憶體製造與記憶體模組族群表現,法人亦看好,相關記憶體封測廠南茂及菱生第四季及明年營運也將受惠。
菱生IC封裝主要的領域以感測元件(Sensor IC)占比最高、約35%左右,其次則是編碼型及儲存行快閃記憶體(NOR/NAND Flash)封裝占約近三成水準。
 市場法人指出,該公司二大產品線中,感測元件封裝今年來較早回穩,進入下半年之後,記憶體也在全球大廠減產下出現需求及價格回升的情況,推升該公司營收表現。
菱生今年以來前三季營收呈現逐季走高態勢,其中,第三季營收更以14.99億元寫下近五季營收新高,對於後市,市場法人指出,受惠於客戶庫存調節進入尾聲,再加上記憶體封測需求重啟、後續營運可望漸入佳境,第四季營收表現有機會續攀升。
南茂是國內主要的記憶體封測廠,受到快閃記憶體出現急單需求,也帶動DRAM需求跟進加溫,使得南茂在記憶體封測業務開始回暖,該公司第三季營收55.82億元也是近五季新高。
 市場法人指出,除了記憶體業務第四季回升明確之外,包括驅動IC、車用面板與OLED需求也逐漸回穩,整體而言,南茂第四季營收有望續揚,明年營運展望同樣正向。

新聞日期:2023/10/23  | 新聞來源:經濟日報

陸查稅鴻海 台商人心惶惶

業界直言官方打出七傷拳 對經濟發展不是好事 產業與政治議題應分開
【台北報導】
中國大陸是台商投資重鎮,不僅鴻海、台積電、聯電、日月光等一線半導體廠,統一、台塑等傳產大咖都在大陸布局。鴻海集團蟬聯2022年大陸的外商投資第一名,也是大陸出口第一,如今連龍頭都被查稅,台商人心惶惶。

不具名的大陸重量級台商指出,鴻海集團每年對大陸出口、GDP貢獻不小,更是蘋果最大合作夥伴,大陸官方傳出對鴻海使出企業最不樂見的查稅手段,其實是打出七傷拳,若鴻海集團在大陸布局因而受阻,對大陸經濟發展也不會是好事,「殺敵一千,自損八百」,產業與政治議題應分開,不要混為一談。

根據胡潤研究院統計,2022年鴻海集團蟬聯大陸外商投資企業百強榜之冠,並在銷售額及員工數量同時位居第一。同時,鴻海集團多年來蟬聯大陸創匯第一名廠商,連龍頭廠商都被查稅,是否影響到外商投資大陸的意願,值得後續關注。

鴻海集團從1988年開始投資大陸,目前在大陸擁有40多個產業基地,連續七年位居世界500強前30位,產品範圍涵蓋消費性電子、雲端網路、電腦終端、元器件及其他等四大領域,是全球最大電子科技智造服務商。

2021年,鴻海集團進出口總額占大陸進出口總額約3.6%,進口總額占大陸進口總額的3.2%,出口總額占中國大陸出口總額的3.9%。 2022年位居《財星》世界500強第20位。

根據大陸官方公布2021年7月的2020年中國外貿出口百強企業資料顯示,鴻海集團有九家公司入列出口百強,占比驚人。翻開大陸2020年對外創匯百強名單,前五大就有三家鴻海集團旗下公司,排名第一的是鴻富錦精密電子(鄭州廠),出口額達316.4億美元(約新台幣8,900億元)。

【2023-10-23/經濟日報/A3版/話題】

新聞日期:2023/10/18  | 新聞來源:工商時報

集邦:支持AI強大算力,明年需求大增...

2.5D封裝日月光、聯電是贏家
台北報導
 全球先進製程三大晶圓廠台積電、三星(Samsung)及英特爾(Intel)在前段製程微縮逼近物理極限,AI需求又帶動未來市場趨勢下,TrendForce集邦科技看好以提供AI強大算力的2.5D封裝技術需求也隨之大增,2024年先進封裝需求持續放大,預期日月光、聯電等廠可望受惠。
 研究機構TrendForce針對2024年科技產業發展發表看法,針對先進封裝明年展望指出,半導體前段製程微縮逼近物理極限,先進製程領導廠商台積電、三星及英特爾除了尋求電晶體架構的轉變,封裝技術演進也已成為提升晶片效能、節省硬體使用空間、降低功耗及延遲的必要發展。
 集邦指出,台積電及三星更先後在日本建立3D IC研發中心,凸顯封裝在半導體技術演進的重要性。近年來,隨著Chatbot興起所帶動AI應用蓬勃發展,協助整合運算晶片及記憶體,以提供AI強大算力的2.5D封裝技術需求也隨之大增。
 2024年各廠將致力提高2.5D封裝產能以滿足日漸升溫的AI等高算力需求,同時,3D封裝技術發展也已萌芽。
 針對2.5D先進封裝日月光投控,近日也發表推出整合設計生態系統(IDE),透過VIPackTM平台優化的協作設計工具,以系統性地提升先進封裝架構。這種最新的設計可以從單片SoC到內存的多晶片拆分的IP區塊無縫轉換,包括小芯片和整合記憶體的2.5D和先進扇出型封裝的結構。日月光強調,此整合設計生態系統設計效率最高可提升50%,大大縮短產品設計周期時間,同時降低客戶的成本。
 此外,2.5D封裝前段製程所需的矽中介層(Silicon Interposer),目前市場供給不足是先進封裝產能不足主要關鍵之一,目前除了台系晶圓代工廠中,除了台積電之外,僅聯電具供貨能力,因此今年來聯電也已積極擴產,目前聯電矽中介層月產能約3,000片,市場預期明年聯電在矽中介層的供貨也將較今年至少倍增以上,將有利營運表現。

新聞日期:2023/10/16  | 新聞來源:工商時報

擴大減產 記憶體封測廠Q4續熱

台北報導
 全球記憶體市況下半年以來緩步回升,近期在全球記憶體大廠持續擴大減產,再加上市場庫存水位逐步回到健康水準,第四季記憶體可望持續升溫,市場預期將帶動記憶體封測廠第四季營運表現,其中,力成及南茂第三季營收分別寫近四、五季新高,而華泰更創下近16季營收新高,市場看好三大記憶體封測廠第四季營收可望續攀升。
 進入下半年以來,全球記憶體市況逐步走出谷底,雖然市場需求仍維持相對過去旺季時平淡,但在國際大廠減產效應下,價格回穩、市場觀望氣氛也轉趨緩,同時也帶動記憶體封測廠第三季營收走高。
 其中力成第三季184.48億元,不僅是近四季新高,同時,以單季營收來看,也已是連續二個季度營收呈現季成長,第三季較上季成長7.15%,產業回溫情況逐漸顯現。
 南茂第三季營收為55.81億元,單季營收同樣也是連續二個季度呈現季成長,第三季營收較上季小幅成長2.52%,以單季營收來看,南茂第三季營收也是寫近五個季度最佳表現。

新聞日期:2023/10/04  | 新聞來源:工商時報

晶圓代工、封測區域移轉 IDC:台灣市占率將下滑

台北報導
 因地緣政治影響,半導體製造商被要求建立「中國+1」或是「台灣+1」的生產規劃,產業鏈持續出現產能區域移轉動作,ICD預估,2027年台灣在晶圓製造市占則將從2023年的46%降至43%,而封裝測試占有率將由2022年的51%下滑至47%。
 IDC亞太區半導體研究負責人暨台灣總經理江芳韻表示,地緣政治影響形成強大的推力與拉力,使半導體產業鏈進行一波新的區域轉移。各國將更加注重自身供應鏈的自主性、安全性和可控性。
 江芳韻表示,在晶圓代工方面,台積電與三星、英特爾開始在美國進行先進製程布局,美國將在晶圓代工逐步產生影響力。中國雖在先進製程發展遇到阻力,但在中國內需市場以及國家政策推動下,成熟製程發展快速。
 預期在以生產地區為基礎的分類下,中國在整體產業區域比重將持續增加,2027年將達29%,較2023年提升2%,台灣在2027年市占則將從2023年的46%降至43%。而美國在先進製程將有所斬獲,2027年7奈米及以下市占預期將達11%。
 半導體封裝測試方面,江芳韻指出,考慮到地緣政治、技術發展、人才和成本的影響,美國及歐洲領先的IDM業者,開始加速投資東南亞市場,加上封測業者開始將目光從中國轉移至東南亞,預計東南亞在半導體封裝測試市場中將扮演越來越重要的角色,其中馬來西亞與越南在半導體封測領域,更是未來在發展上特別需要關注的重點區域。預計2027年東南亞在全球半導體封裝測試市場占有率將達10%,台灣占比則將由2022年的51%下滑至47%。

×
回到最上方