產業新訊

新聞日期:2023/12/15  | 新聞來源:經濟日報

台積1.4奈米 最快2027量產

命名為A14 2奈米2025大量生產 檢測商閎康、汎銓成大贏家
【台北報導】
台積電更先進的1.4奈米製程布局細節曝光。外電報導,台積電規劃2027年至2028年量產1.4奈米,並命名為「A14」,台積電並在IEEE國際電子元件會議(IEDM)中重申,2奈米將會在2025年導入量產,成為公司新一代量產製程。

對於外電報導,至昨(14)日截稿為止,台積電暫無進一步評論。業界看好,隨著台積電領頭衝刺更先進的製程節點,不論是發展環繞閘極電晶體(GAA)架構,或是後續疊層互補式場效電晶體(CEFT)結構,都將大幅增加材料分析(MA)商機,半導體檢測實驗商閎康、汎銓將是大贏家。

按照上述外電消息,業界觀察,從各大廠1.4奈米製程初步消息而言,預期競爭將於2027年白熱化,目前已在未來五年研發階段的速度競賽。台積電目前已量產的最高階製程為3奈米。

雖然1.4奈米仍在研發階段,業界認為,不僅2奈米,後續更先進製程架構變化,對研發單位是相當大的考驗,預期晶圓代工大廠將持續投入大量研發資源,有利於材料分析需求,後續1.4奈米持續推進下的新製程節點或組合,也將持續帶動材料分析新需求。

閎康、汎銓都正向看待先進製程投資持續帶動的材料分析商機。閎康認為,隨著地緣政治議題引爆的技術競爭及先進製程演進,該公司檢測分析訂單將隨增長。

汎銓指出,先進製程推進將大幅增加材料分析業務的效益,主要來自分析難度提高及分析案件數量增加,加上技術組合多元化在考慮系統效能與降低功耗等設計下,使先進製程難度持續提高,也有利汎銓展現技術優勢。

【2023-12-15/經濟日報/A2版/話題】

新聞日期:2023/12/15  | 新聞來源:工商時報

全球晶圓代工版圖將變 台灣領先地位受挑戰

台北報導
 地緣政治驅使半導體產業進入美中大競局,催生全球晶圓代工版圖新面貌。TrendForce研究指出,至2027年台灣占全球晶圓代工先進製程及成熟製程產能比重都將收斂下滑,成熟製程產能占比降至40%,近乎持平大陸占比39%,先進製程產能占比由68%降至60%,雖仍占全球大宗,但面對美日韓比重急拉至34%,要以前瞻技術維持產業地位,將有不小壓力。
 TrendForce估計,2024年底大陸將有32座成熟製程晶圓廠建成,加上原有44座。放眼全球,明年底將有85座成熟製程晶圓廠建成,包含8吋25座、12吋60座,各國補貼政策驅動下,中、美最為積極,也對台灣成熟製程晶圓代工廠營運形塑壓力環境。
 在先進製程領域,台灣仍由台積電支撐,美國招募並扶持台積電、三星、英特爾等業者,在境內大舉投資先進製程晶圓廠,預估至2027年美國的先進製程產能占比,將由目前的12%成長至17%,台積電及三星尚占逾半數產能,仍有關鍵地位。
 值得留意的是,工作態度及文化與台灣相仿的日本,除了吸引台積電設廠外,日方更積極扶持在地企業Rapidus,目標直指最先進2nm製程,同步祭出補貼政策,包含台積電熊本廠和力積電仙台廠雙管齊下,預估2027年先進製程產能占比,將近乎零大幅拉升至4%,日本精密工業與材料科學技術與經驗不容小覷。
 然而在成熟製程遭逢陸系晶圓廠強力補貼當地廠商壓力,聯電、世界先進及力積電未來將面臨挑戰。
 台灣成熟晶圓代工廠積極應對,包括聯電持續累積具技術差異化及客製化的特殊製程,力積電規劃轉型,包括將在2024年推出AI功能晶片或2025年推以AI、新材料記憶體或更高階新興應用產品,而世界先進則透過策略布局來迎戰紅色供應鏈的挑戰。

新聞日期:2023/12/14  | 新聞來源:工商時報

後年蘋果i17單 台積2奈米勝出

外媒指三星降價搶不到;劉德音看明年半導體是「非常健康的成長年」
綜合報導
 外媒引述消息報導,在全球晶片大廠爭相發展2奈米製程之際,台積電再度勝出搶下蘋果訂單,預計2025年上市的iPhone 17 Pro將率先採用台積電2奈米晶片。
 另外,針對三星打算在2奈米製程訂單上提供以價格折扣的方式,搶攻市場,台積電董事長劉德音13日出席行政院科技顧問會議前接受媒體聯訪,他強調「客戶還是看技術的品質」;對明年半導體景氣的預估?劉德音說,是一個非常健康的成長年。
 蘋果今年9月才剛宣布,今年上市的iPhone 15 Pro採用台積電3奈米製程代工的A17 Pro晶片,近日台積電已經開始為2奈米晶片尋找客戶。英國《金融時報》引述消息報導,台積電近日已向幾家大客戶展示「N2」2奈米晶片的測試結果,而這些客戶包括蘋果及輝達。
 除了台積電之外,英特爾及三星電子也爭相推出2奈米製程。三星甚至祭出降價策略,企圖從台積電手中搶走蘋果訂單,外傳蘋果最終還是屬意台積電代工。
 投資機構Dalton Investments分析師James Lim表示:「三星將2奈米製程視為決勝關鍵,但外界質疑三星製程升級的執行成果不如台積電。」
 產業人士認為蘋果之所以傾向繼續和台積電合作,是因為台積電3奈米製程已相當成熟,包括iPhone 15 Pro的A17晶片及Mac的M3系列晶片都是採用台積電3奈米製程。
 蘋果在萬聖節前夕發表M3系列晶片時,就曾宣揚M3晶片無論運算能力及電池續航力都比M2晶片更上一層樓。在M3系列3款晶片當中,M3 Pro運算速度比入門款M3快40%,而最高階的M3 Max速度相當於入門款M3的2.5倍,足以執行AI運算。相較之下三星3奈米製程至今良率難以提升,仍舊停留在60%左右。
 台積電先前就曾對外宣稱,2奈米晶片預計2025年開始量產,正好和iPhone 17 Pro上市時程相符。若台積電執行順利,屆時蘋果推出的A19晶片將是第一批採用台積電2奈米製程的晶片。

新聞日期:2023/12/13  | 新聞來源:工商時報

台積電、英特爾、三星 2奈米上膛 晶背供應鏈受寵

台北報導
半導體先進製程進入新世代,包括台積電、英特爾、三星均聚焦晶背供電(BSPDN)技術。三星率先在8月公布研究成果,台積電則在第三季法說提及2奈米關鍵-晶背供電技術,英特爾近日於IEE E(國際電子元件會議)上,分享最新晶背供電的研發突破。
面對競爭對手來勢洶洶,台積電2奈米已趕在第四季確定主要製程技術參數,目標2025年量產出貨,台系供應鏈如家登、萬潤、公準、中砂、意德士等,可望因大廠較勁而受惠。
 台積電2奈米可望率先導入高數值孔徑(High-NA)EUV微影製程,主要設備供應商中,家登第二代EUV Pod已完成艾司摩爾(ASML)認證,未來將支援EUV設備,而EUV供應鏈中,包括意德士及公準也都是市場關注受惠股。
 萬潤外傳也受惠中介層材料改變,點膠機訂單量維持高檔。
中砂則因兩奈米鑽石碟比重將較三奈米更高,使用壽命更短,產品值與量同步看漲。另外,材料分析實驗業者閎康、汎銓及宜特均看好將帶來新一波商機,主因台積電2奈米採用新架構,將帶動龐大的分析檢驗需求,隨著客戶業務合作黏著度增加,以及持續提升兩岸地區據點檢測分析量能等挹注下,帶動相關廠商營運跟著旺。
英特爾後來居上,於IEDM 2023上大舉宣示進入製程技術的埃米世代(Angstrom era),展望4年5節點的計畫,持續創新比以往更加積極;未來英特爾獨立並轉型為內部晶圓代工模式之後,有望在2024年就實現全球第二大晶圓代工廠的目標,同時爭搶無晶圓廠(Fabless)的代工機會。
三星也緊追其後,跟進2025年量產2奈米製程計畫,並以慣用價格折讓手法搶單,三星也與韓國主要企業合作成立「多晶片整合 (MDI) 聯盟」,使先進製程、封裝等業務爭取更多商機。
先進製程競爭持續激烈,不過製程的開發是非常複雜的浩大工程,台積電仍透過製程開發和資本支出的優勢迭代拉開差距,提早導入新技術縮短學習曲線,再加上科學方法的製程參數調整,與競爭對手拉開差距。

新聞日期:2023/12/11  | 新聞來源:經濟日報

台積熊本廠 明年2月開幕

總裁魏哲家將出席典禮 預料一併宣布擴大日本投資、興建二廠
【台北報導】
台積電化解美國亞利桑那州廠勞資爭議後,日本熊本新廠也傳出重大進展。親近日本政府官員的消息人士透露,台積電預計明年2月下旬舉行熊本廠開幕典禮,總裁魏哲家親自出席,預料屆時將一併宣布擴大日本投資、在熊本興建二廠且採用更先進的7奈米系列製程的投資計畫。

對於上述傳聞,台積電發言體系回應表示,台積公司全球製造版圖擴張策略是基於客戶需求、商機、營運效率、政府支持程度以及經濟成本考量,持續透過必要的投資,支持客戶需求,並因應半導體技術長期需求的結構性增長,「我們正專注於評估在日本設置第二座晶圓廠的可能性,目前沒有更多可分享的資訊」。

消息人士透露,台積電熊本新廠預計明年第2季初投片,台積電選在明年2月下旬舉辦開幕典禮,應是配合日本政府復興半導體計畫的對應安排,魏哲家將率領相關主管及協助台積電日本布局的供應鏈成員出席熊本廠開幕典禮,見證台積電完成日本首座具備16奈米製程生產能量的12吋晶圓廠的成就,預料日本重量級決策官員也將與會。

台積電於2021年10月宣布前往熊本建廠,2022年開始動工。相較於台積電在美國先一步宣布設廠,日本廠可謂後發先至。

供應鏈透露,台積電熊本廠建廠進度順利,今年已展開裝機前準備作業,包括訓練由合作夥伴日本索尼歸入合資公司JASM的300多位日本員工,隨著熊本廠內辦公大樓等部分設施於今年8月啟用,台積電也調派台灣350名工程師連同親屬合計約750人,入住熊本附近公司安排的宿舍,並於今年10月1日配合相關設備供應商工程師進行裝機。

日本已提撥台積電熊本一廠4,760億日圓(約新台幣1,000億元)補貼,為吸引台積電在熊本續設立更先進的7奈米以下製程,傳出日本政府將祭出高達9,000億日圓補助金額,吸引台積電在日本擴大投資,甚至也將爭取台積電在日本設立3奈米製程的晶圓三廠。

目前看來,台積電於熊本設立二廠的計畫已箭在弦上,稍早在台積電10月1日的移機典禮後,日本經濟產業大臣西村康稔在臉書表示,台積電在熊本縣設廠是復興日本半導體產業的重要國家計畫;貼文還附上兩人面帶微笑握手的照片。

【2023-12-11/經濟日報/A3版/話題】

新聞日期:2023/12/11  | 新聞來源:工商時報

台積電11月業績站穩2,000億

台北報導
 晶圓代工龍頭台積電8日公布11月合併營收,一如外界預期,先進和成熟製程二樣情,台積電11月營收2,060億元,較上月歷史新高雖減少15.3%,但仍是今年次高表現,市場法人看好台積電應可望達成季度財測目標。
 台積電10月營收以2,432億元,創下歷史新高,11月仍力守2,000億元大關,寫今年次高水準;累計前11月營收1.98兆元,較去年減少4.1%。
 台積電先前預估,美元計價的第四季營收,落在188億~196億美元,季增8.8%到約13.44%,若以新台幣兌美元匯率32元計,營收約落在6,016~6,272億間。
 以第四季而言,10月及11月營收合計已達4,492億元,以台積電公布的財測目標中高標6,272億元計算,達成率已達71.6%,雖因季節性因素來臨,預估後市走緩,法人仍樂觀看待台積電第四季營收可望達標,更有機會超越財測目標。
 此外,若以全年營收來看,台積電先前預估,以美元計年營收可能落在684.74億~692.74億美元,將較去年減少8.7%~9.76%,若財測目標不變,將優於原預期的衰退10%。
 台積電先前法說會上表示,第四季客戶端對AI需求持續強勁,推升3奈米量產動能,但因總體經濟情勢持續走軟,加上中國需求復甦較預期緩慢,終端市場整體需求仍疲弱,庫存調整將延續到第四季,但產業庫存已接近谷底,有觀察到個人電腦和智慧型手機終端市場有早期需求回溫跡象。且隨著PC、手機客戶需求趨於穩定,加上AI持續強勁,推升3奈米量產動能,明年預計會是健康成長的一年。
 台積電將於12月14日將進行除息,每股配發現金股利3元。

新聞日期:2023/12/07  | 新聞來源:工商時報

台積3奈米 明年5大咖報到

台北報導
台積電日前遭外資直指,目前3奈米客戶過度集中蘋果,一旦產能利用率下滑,折舊壓力恐衝擊毛利率受壓;惟明年年中後3奈米客戶如聯發科、高通、英特爾、輝達、超微陸續加入推動,適逢過年傳統淡季的首季,產能利用率可能下探65%,但也將是明年營運谷底,隨著3奈米營收比重攀升,開始恢復成長軌跡。
美系外資調整台積電目標價,但仍維持加碼評等,主係對其生成式AI、半導體復甦週期、2奈米技術領先、以及海外擴廠加速進行,抱持看好長線投資價值態度。台積電2024年3奈米新客戶即將加入,包括手機晶片廠聯發科、高通,伺服器晶片廠輝達、超微,甚至是英特爾。
法人指出,隨著英特爾擴大外包,雙方合作越趨緊密。其中,Intel 下一代低功耗架構Lunar Lake MX(LNL)之CPU單元,將使用台積電的N3B製程,據了解,該專案於台積電內部啟動許久,近期開始加快進度進行;Arrow Lake H/HX 的CPU也將採用3奈米製程,大幅填補台積電產能。
另外,AI伺服器部分,輝達主要訂單H200/H20能見度穩健,AMD的MI300也在進行之中。並且陸續在明年度推出新品,如輝達B100、超微MI300系列,因此N3於明年下半年,依舊保持強勁成長,呼應台積電前次法說展望;在良率部分則進一步提升,雖然需花費較久時間,才能達到公司平均水準。
台積電致力推出新的N3製程,如N3P、N3X,而用於生產HPC與手機晶片之N3E,在本季度進入量產,3奈米將會是未來長期的節點,營收比重將會持續提升。
此外,更先進之2奈米技術開發如期進行,在效能、功耗和面積(PPA)及耗電效率上,均維持產業龍頭地位、競爭者有限,2025年就會進入量產。

新聞日期:2023/12/05  | 新聞來源:經濟日報

台積恐調降明年資本支出

可能調為280~300億美元 探四年低點 牽動供應鏈訂單 市場預期會持續推進先進製程
【台北報導】
市場傳出,台積電因部分製程機台設備可共用,加上部分遞延預算將在今年動用,明年資本支出可能降為280億美元至300億美元,較今年下滑6.3%至12.5%,恐下探近四年來低點,並牽動對閎康、家登、帆宣、漢唐等設備╱檢測相關協力廠下單量,攸關整個供應鏈明年訂單動態。

對於明年資本支出恐較今年縮水的傳聞,台積電昨(4)日回應,有關明年度資本支出相關資訊,將以2024年1月法說會公布內容為準。外界預期,即便台積電明年資本支出不再急速衝高,研發投資仍會持續成長,衝刺先進製程技術。

台積電昨日股價開高走低,終場下跌5元、收574元,市值再度失守15兆大關,降至14.89兆元,外資轉賣5,240張,終止連四買。周一ADR早盤跌逾2%。

業界人士透露,台積電明年先進製程研發投資將持續成長,不過,預期部分3奈米先進製程設備機台約八成可和5奈米與7奈米共用。隨著7奈米產能利用率在季節過渡階段,預期明年資本支出重點在3奈米與2奈米以下先進製程與光罩投資;成熟製程持續方面,則會拉升特殊製程比重與先進封裝的部分設備改機等。

法人認為,台積電明年資本支出若低於今年,其二線協力設備廠恐面臨必須「勒緊褲帶」的狀況,至於一線關鍵合作夥伴也勢必面對產業周期調整。

台積電在10月法說會上定調,因應市場短期不確定性,公司投資保持謹慎,今年資本支出維持近320億美元(約新台幣逾1兆元)水準,已適當緊縮。

另一方面,全球晶片微影技術領導廠商艾司摩爾(ASML)日前發布財報已預告,2024年將是過渡的一年,預期2024營收將與2023年相近,半導體產業正經歷周期底部因而保守看待。

依據台積電統計,今年第3季美元資本支出約71億美元,較第2季81.7億美元、首季99.4億美元持續下滑,累計前三季資本支出252.1億美元,若今年資本支出320億美元低標達陣,換算本季資本支出將降至67.9億美元。

【2023-12-05/經濟日報/A3版/話題】

新聞日期:2023/12/05  | 新聞來源:工商時報

DRAM庫存高…Q4出貨成長恐有限

台北報導
 DRAM市況於第三季復甦,全球產業營收季增18%,第四季因原廠漲價態度明確,第四季合約價漲勢確定,預估仍將上漲13%~18%,惟需求方面回溫程度,則不如過往旺季;整體而言,買方雖有備貨需求,但以目前來說,伺服器領域因庫存水位仍高,拉貨態度仍顯被動,預估第四季DRAM產業出貨成長幅度有限。
 TrendForce表示,第三季三大原廠營收皆有所成長,由於AI話題延燒,對高容量產品需求維持穩定,加上1 alpha nm DDR5量產後,量價齊升,帶動三星第三季DRAM營收季增幅度約15.9%,約52.5億美元。
 SK海力士受惠HBM、DDR5產品需求,出貨量連三季度成長,加上平均銷售單價季增約10%,營收約46.26億美元,季增幅度達34.4%,是原廠中成長最顯著的業者,與三星的市占率差距縮小至不及5個百分點。
 美光平均銷售單價小幅下跌,然因需求回溫,出貨量增加,支撐營收季增幅度約4.2%,達30.75億美元。
 產能規劃方面,三星針對庫存偏高的DDR4產品擴大減產,第四季減產幅度會擴大至30%,且認為旺季須待2024下半年,投片將於2024年第二季開始提升。SK海力士投片則率先於2023年底上升,搭配2024年DDR5滲透率提升,預期總投片量將逐季上升。美光庫存相對健康,2023年第四季投片已開始回升, 2024年投片量估仍會小幅上升,產能重心落在製程轉進。
 台廠方面的南亞科主流DDR3、DDR4產品需求相對疲乏,價格仍呈下滑走勢,限縮其營收漲幅,營收達2.44億美元。
 華邦電則在定價策略上較為積極,為拓展其DDR3業務,去化高雄廠新增產能,議價彈性大,故出貨有所成長,第三季營收上升至1.12億元。
 力積電營收計算為其自身生產的消費性DRAM,不包含DRAM代工業務,受惠現貨價格上漲,使得需求小幅上升,帶動DRAM營收季增4.4%。

新聞日期:2023/11/29  | 新聞來源:經濟日報

德國補助台積 總理掛保證

政府預算出現缺口 引發跳票疑慮 蕭茲喊話全力支持設廠 經長強調攸關「國家經濟核心」
【綜合報導】
德國法院日前判決,聯邦政府將抗疫預算挪用為「氣候與轉型基金」違憲。由於這筆總額達600億歐元的基金,包含對台積電和英特爾的設廠補貼,業界憂心德國政府的承諾是否跳票。

德國財經媒體Onvista報導,德國聯邦政府將信守對於台積電及英特爾籌設晶圓廠之補助承諾。德國總理蕭茲和經濟部長哈貝克共同承諾,將全力支持設廠。

德國政府預算因法院判決出現缺口,業界憂心對台積電和英特爾的設廠補貼是否跳票。除德國總理蕭茲已於17日表達正面態度外,經長哈貝克亦表支持,自民黨也已意識到,補貼承諾變調的後果與嚴重性。11月22日聯邦政府副發言人重申,蕭茲政府信守承諾立場不變。針對外電報導,至昨(28)日截稿為止台積電暫無評論。

哈貝克27日與全國各地的邦政府首長開會後表示,將盡快找到解決方式,發給業者具法律強制力的補貼證明。哈貝克強調,這些投資計畫觸及「德國經濟的核心」,有實現的必要。

薩克森-安哈特邦邦長27日證實,蕭茲已與他和薩克森邦總理通話,明白表達支持英特爾和台積電設廠,並表示將盡一切努力讓計畫實現。薩克森-安哈特邦(Sachsen-Anhalt,簡稱薩安邦;英特爾設廠預定地)、薩克森邦(Sachsen;台積電預定投資所在地)、與薩爾邦(Saarland)等地方政府均堅定呼籲,聯邦政府務須履行對於半導體大廠投資補助之承諾。

薩安邦邦長指出,聯邦政府與英特爾間已達成之協議當然有效,聯邦政府必須表現誠信。薩克森邦總理亦認為必須清楚申明投資補助承諾不變。薩爾邦邦長則提出警示:倘未如期進行重大投資與建設,德國經濟將陷入嚴重衰退。

台積電在今年8月董事會後和羅伯特博世公司(Robert Bosch GmbH)、英飛凌科技(Infineon Technologies AG)和恩智浦半導體( NXP Semiconductors N.V.)共同宣布,計畫共同投資位於德國德勒斯登的歐洲半導體製造公司(ESMC),總計投資金額預估超過100億歐元,先進半導體製造服務。ESMC目標於2024年下半年開始興建晶圓廠,2027年底開始生產。

該計畫興建的晶圓廠預計採用台積電28/22奈米平面互補金屬氧化物半導體(CMOS),以及16/12奈米鰭式場效電晶體(FinFET)製程技術,月產能約4萬片12吋晶圓,將能進一步強化歐洲半導體製造生態系統。

【2023-11-29/經濟日報/A3版/話題】

×
回到最上方