產業新訊

新聞日期:2024/10/22 新聞來源:經濟日報

國內碳交易啟動 每噸2,500元起跳

【高雄、台北報導】台灣邁入「碳有價」時代,碳交所昨(21)日表示,國內碳權交易平台已上架六個專案、共6,080噸碳權,每噸2,500元至4,000元,期待三到四年後,台灣也將過渡到總量管制碳交易制度(ETS), 讓減碳發揮更大效益。 環境部表示,國內碳交易制度設計上僅允許交易一次,交易資訊皆須完整公開,確保碳權品質,避免漂綠疑慮。據統計,從2015年溫室氣體抵換專案以來,共核發2,559萬噸碳權,扣掉已註銷部分,剩約1,867萬噸,將是可能進入市場交易的活水,不過考量企業可能惜售,實際有多少進入交易仍待觀察。 臺灣碳權交易所昨日在高雄舉辦「國內減量額度交易平台啟動典禮暨企業分享會」,碳交所董事長林修銘表示,目前六案可交易的減量專案,從農業、石化業、鋼鐵業、客運業甚至服務業都有,國內碳權交易平台除協助企業更有效率媒合,也期待創造減碳誘因。 首批上架國內碳權包含漢程客運、中鋼、台北101大樓、奇美實業、漢寶農畜產企業等共六項專案。上架交易量最大的是奇美實業的天然氣替代重油抵換專案,共5,000公噸,每公噸交易金額為2,500元;中鋼鋼胚熱進爐節能抵換專案則上架200公噸,每噸4,000元。 碳交所總經理田建中表示,國內碳權法定用途,包括環評增量抵換以及抵減碳費。例如廠商若要擴廠,所新增的二氧化碳排放量要有十分之一用國內碳權抵換;另一個則是抵減碳費,長期來看,這是一個剛性需求。 至於月初開放交易以來,迄今尚無成交,除了碳費尚未開徵,目前主要的擴廠包括半導體上、中、下游產業,因規劃時間較長,同時業者也會觀望碳權交易情形,以及評估是否自行進行減量,仍須時間。另外許多企業因有擴廠需求等,所取得碳權也會留作自用而惜售。 【2024-10-22/經濟日報/A2版/話題】
新聞日期:2024/10/22 新聞來源:工商時報

高通驍龍8 Elite 台積操刀

美國夏威夷報導 2024年為晶片產業的轉折年,高通(Qualcomm)和聯發科陸續推出的次世代旗艦手機晶片成為轉折核心。高通於美國時間21日全新推出的驍龍8 Elite旗艦手機晶片,有別聯發科著重功耗表現,以4.32Ghz頻率表現、輾壓全場,甚至超越部分PC CPU處理器。 高通首次以自有Oryon架構引入智慧型手機,實現重大技術突破,並以台積電3奈米製程生產的驍龍8 Elite旗艦手機晶片,為下個世代AI手機爭霸戰揭開序幕。採用Oryon架構 2024高通驍龍高峰會正式在21日於美國夏威夷登場,高通旗艦手機處理器晶片-Snapdragon 8 Elite,做為本次發表會的核心,驍龍8 Elite延續高通一貫的技術領先,更透過第二代Oryon CPU的導入,帶來PC級別的性能突破。生態系架構全面整合,高通繼AI PC產品、AI手機亦開始採用Oryon,意圖建構比蘋果封閉生態更廣闊的安卓(Android)宇宙。跑分更勝蘋果A18 首次全部採用Phoenix核心,CPU頻率拉高到4.32GHz,驍龍8 Elite各項跑分更勝蘋果A18 CPU,眾多品牌業者已加入採用。據悉,10月底四家陸系業者榮耀、iQOO、小米和一加都將推出搭配驍龍8 Elite旗艦機種,相關品牌業者透露,今年發布時間較往年更早、更密集,預示未來一年市場的競爭白熱化程度。陸手機業者搶引進 旗艦機種漲價趨勢已然,vivo X200對比前代漲價7.5%,業者指出,主要反映晶圓代工價格,高通、聯發科皆採台積電第二代3奈米製程打造;不過同時也都帶來效能和功耗有感提升。高通表示,「雙超大核」設計帶來「超高頻、超強效能、超低功耗」三大特性,另外,升級版的Hexagon NPU進一步加速AI的處理速度。 2024年為晶片產業的拐點,反映的是晶片技術的快速迭代和市場競爭的加劇。高通驍龍8 Elite的發布,不僅代表著技術的突破,更意味著市場格局的潛在變化。 在AI和高效能運算需求持續攀升的背景下,能夠掌握高效能與低功耗平衡的晶片廠商,將在未來的競爭中處於有利地位。 法人指出,未來手機與PC的界限愈趨模糊,有些IC必須隨之升級,但相對的更多競爭者的加入,使上游供應鏈迎來巨變。舉凡從PMIC(電源管理IC)、Audio Codec等,原本兩相分野市場將開始相互競爭。
新聞日期:2024/10/21 新聞來源:經濟日報

華邦電:明年營運會好很多

南投報導董座焦佑鈞看好產業後市 邊緣AI裝置用客製化記憶體2025下半年投產 華邦電董事長焦佑鈞昨(19)日表示,今年算是回復正常經濟模式,第3季通常是高峰,第4季為淡季,明年產業景氣應會隨經濟緩慢成長,且從客戶反饋來看,華邦電明年營運會比今年好很多。華邦電昨日於南投九族文化村舉辦「2024年零碳家庭日」,超過7,000位員工及家屬參加。焦佑鈞受訪時表示,今年回復正常經濟模式,在正常經濟模式下,第3季通常是高峰,第4季是淡季。焦佑鈞表示,明年產業景氣應會隨經濟緩慢成長,不過目前是創新驅動時代,觀察台積電表現,有良好創新能力應可以帶動快速成長,尤其是AI技術的發展及其應用,為各行各業帶來許多創新機會。華邦電切入AI商機,投入邊緣AI裝置用客製化記憶體,已獲得晶創計畫5.5億元補助,並已有十個Design-in專案客戶,部分專案預期2025年下半年小量生產,2026年放量;此外,華邦電子公司新唐投入微型機器學習應用。針對記憶體後市,華邦電總經理陳沛銘指出,記憶體及整個電子產業第4季都會休息一下,除了現在最紅的AI伺服器外,其他產品市況就會恢復過往第3季最強,第4季休息的情況。陳沛銘強調,從客戶反饋來看,華邦電明年營運會比今年好很多。比如今年下半年WiFi 7規格轉換延遲,但網通產業已經休息快三年,相信未來一、二年會更好;至於車用市場仍偏保守。消費性領域產品方面,陳沛銘認為,今年需求表現還算正常。PC今年不錯、明年會更好,主要是因AI PC將在商用市場放量,將是顯著的需求,有望帶動華邦電業務增長。產能方面,華邦電高雄廠每月規劃1.5萬片,目前投產順利,產能處於滿載狀態。台中廠第4季有調整產能,目前降至八成左右。 【2024-10-20 經濟日報 A3 台股聚焦】
新聞日期:2024/10/18 新聞來源:工商時報

台積電全球擴張 遍地開花

台北報導 台積電全球化布局遍地開花,董事長魏哲家指出,海外建廠取得階段性成功,美國預計建立三座廠、一廠將於2025年初開始量產,日本熊本一廠12月開始量產、二廠開始整地,德國德勒斯登則預計2027年底前開始量產,台灣先進封裝部分也透露需求強勁訊號。產能已提高逾兩倍 魏哲家指出,台積電將在美國亞利桑那州設立三座晶圓廠的計畫,此舉將有助於創造更大的規模經濟,每一座亞利桑那州晶圓廠潔淨室面積,約是業界一般邏輯晶圓廠的兩倍大。 台積電在美國亞利桑那設廠計劃,總投資650億美元、建造3座晶圓廠。美國的第一座晶圓廠採用4奈米製程,目前進展順利,良率與台灣廠相當,該廠將在2025年初開始量產,第二座計劃於2028年開始生產,第三座晶圓廠將在2030年量產。 台積電日本熊本廠進展順利,一廠已經完成所有驗證,12月將開始量產,第二廠已經開始整地、明年第一季動工,目標在2027年底前開始量產。財務長黃仁昭指出,日本現階段就是這兩座廠。在歐洲,台積電已於2024年8月,與合資夥伴一起在德國德勒斯登為特殊製程晶圓廠舉行動土典禮,這座晶圓廠將以汽車和工業應用為主,採用12/16奈米和22/28奈米製程技術,計畫於2027年底開始生產。 黃仁昭坦言,海外晶圓廠的獲利能力基本上低於台灣的晶圓廠,主要是因為規模較小。此外,明年將是初始量產階段,成本較高,因此獲利能力會較低,但是,隨著時間推移會逐漸改善,未來三到五年內,預估每年會稀釋2%~3%的毛利率。CoWoS成長速度爆發 台灣先進製程也持續擴張,高雄、台中先進製程皆依程序進行。對於外界所關注之CoWoS產能,魏哲家強調,客戶需求強勁,儘管已盡最大所能將產能提高至去年的兩倍以上、甚至有再翻一倍可能,但依舊無法滿足客戶。未來五年CoWoS的成長速度將會優於公司的平均成長,目前占營收約高個位數,毛利率接近公司平均,但尚未達到。 法人認為,先進封裝緊缺情況將延續至2026年,雖然台積電提前於2025年達標,不過2026年預計客戶將有新需求的產生,其中,Chiplet、SoIC也都會有需求出現。 魏哲家透露,HPC客戶對於2奈米需求超越3奈米,台積將準備更多A16/N2製程的產能,以滿足市場需求。
新聞日期:2024/10/17 新聞來源:工商時報

集邦:人工智慧將成NB標配

【台北報導】TrendForce(集邦科技)認為,隨著AI技術發展,預期AI功能將逐漸成為筆記型電腦的一項標準配備;TrendForce預測,2025年AI筆電市場滲透率將達21.7%,到2029年,接近80%的筆電將搭載AI技術。 法人認為,因AI功能將逐漸成為筆電標準配備,個人使用者體驗增加,逐漸帶動筆電換機潮,看好華碩(2357)、宏碁、廣達、緯創、仁寶、英業達等,品牌與代工廠業績同步增長。 全球調研機構TrendForce「AI時代 半導體全局展開─2025科技產業大預測」研討會昨(16)日登場,會中進行包括AI伺服器、液冷散熱、AI PC和機器人等主題演講。 TrendForce表示,未來透過語音識別和自然語言處理,使用者操作體驗將變得更直覺。此外,AI技術還可通過數據分析提供更準確的商業洞察,幫助企業作出更明智決策。 TrendForce強調,儘管目前AI技術應用多為已知形式,並且高度依賴雲端服務,但是隨著技術逐漸成熟、市場對AI的接受程度提高,以及用戶對相關產品需求增長,未來的市場前景仍值得關注。 TrendForce指出,期待突破性的AI應用問世,將有機會為成熟而穩定的筆電產業帶來新契機,也提供消費者更有價值的選擇。 【2024-10-17/經濟日報/C2版/市場脈動】
新聞日期:2024/10/16 新聞來源:經濟日報

日月光高雄K28廠 動土

因應AI浪潮興起,半導體先進製程需求持續提升,全球封測龍頭日月光加碼投資高雄,購入大社區土地,分兩期開發,第一期K27廠已於2023年完工量產,第二期K28廠日前舉行動土典禮。 動土典禮由日月光高雄廠區總經理羅瑞榮、經濟部園管局長楊伯耕、高雄市副秘書長王啟川等貴賓共同為新廠祈福起鏟,預計興建總樓地板面積約1萬坪,地上7層、地下1層的先進封裝製程(CoWoS)終端測試廠房,是日月光首棟結構抗微振設計,為先進製程水準提供前所未有的解決方案。K28新廠預計2026年完工,可增加近900個就業機會。 高雄市副秘書長王啟川指出,高市府致力打造智慧、創新、開放的產業環境,吸引全球頂尖企業投資設廠,串聯原有在地日月光高階封裝測試廠,加速形塑「半導體S廊帶」。日月光半導體先進晶圓級封裝高雄廠,2023年獲世界經濟論壇關注,獲選為「全球燈塔工廠」(GLN),凸顯日月光在先進半導體封裝測試製程,以工業4.0優化生產效率,利用AI人工智慧技術提升製程良率。日月光也在2023年TCSA台灣永續獎,拿下製造業組「台灣十大永續典範企業獎」,顯示日月光深耕永續議題的成果獲得高度肯定。 高雄市經發局表示,K28廠將進一步提升高雄在先進封裝製程(CoWoS)、人工智慧晶片、高效能運算及散熱需求等領域的競爭力,為高雄先進科技產業發展注入新活力。日月光與高市府的合作,共同推動產業朝向智慧製造發展,感謝日月光看好高雄並加碼投資,經發局的投資高雄事務所提供最優質服務和最完善配套設施,採專案經理方式,助企業在高雄蓬勃發展。(許夷雯) 【2024-10-16/經濟日報/A14版/自動化】
新聞日期:2024/10/15 新聞來源:工商時報

聯發科 AI小模型助邊緣運算

台北報導 隨AI模型快速發展,晶片大廠高通與聯發科不約而同,分別針對AI語言模型及邊緣終端技術,提出最新見解與應用展望,揭示AI小模型及邊緣推論的趨勢。聯發科企業策略與前瞻技術資深處長梁伯嵩日前指出,小型參數語言模型的出現,對於邊緣裝置使用AI提供更多可能性;高通資深技術行銷總監江坤霖也表示,生成式AI(Generative AI)若僅依賴雲端,將難以實現普及化,AI推論轉移邊緣將是趨勢。 模型提供業者轉向推出小規模模型,包括微軟、Google等公司。梁伯嵩認為,對於常見的AI使用情境,小型及中型模型更有意義;且小型模型使用算力較少,運行成本也更低。他比喻,對於企業來說,並不需要一個博士應對日常使用,小型語言模型更像是研究生、大學生即可解題。 聯發科AI AGENT不限語言文字的多模型態即能符合邊緣裝置需求。緊接而來的旗艦級晶片連發,供應鏈透露,Vivo、OnePlus主品牌將採用聯發科,子品牌則使用高通,左右逢源。 江昆霖分析,未來邊緣AI朝向小而美的方式邁進,而CPU、NPU都是AI訓練的關鍵,但因應產品設計概念,需要不同處理器達到最佳化,每個處理器單元充分合作,加上軟體提升,解決複雜使用情境。他強調,高通致力於打造AI生態系,加速裝置AI開發,如推出AI Stock軟體套件及AI Hub,通過讓開發者在高通平台上選用模型,開發符合自身需求的邊緣AI裝置。 儘管智慧型手機市場銷售增長有限,但引入AI功能仍有助元件升級。法人評估,下一代旗艦機型Galaxy S25,隨著高通Snapdragon 8 Gen5晶片的使用,有望帶動被動元件需求成長;而聯發科即將推出的Dimensity 9400晶片,也可能幫助陸系旗艦手機在被動元件與光學方面實現升級。 另外,蘋果開始針對不同產品線之SoC進行精細化設計,A18 Pro和A18晶片面積不同,前者要更大。未來安卓旗艦晶片是否也會陸續跟進,值得觀察。
新聞日期:2024/10/15 新聞來源:工商時報

AI護體 神山寫五大不可思議

台北報導 AI晶片需求暢旺,輝達(NVIDIA)未來一年Blackwell架構的GPU已被預訂光,台積電受惠最深,有了AI神功護體,寫下五大不可思議紀錄,包括今年來股價漲幅、對台股市值貢獻度均創下歷史新高,分別達76.22%、72.01%等。台股分析師認為,世界的未來機會靠AI,台積電2025年發展將更可觀。 首先第一個紀錄為市值貢獻度。康和證券投資總監廖繼弘、永豐投顧總經理李學詩表示,台積電是全球市值前十大公司,挾著技術、管理、獲利能力無可匹敵,順勢搭上全球對AI需求熱絡,快速擴增先進製成及封裝產能,推升台積電市值今年來增11.72兆元,達27.09兆元,貢獻台股市值72.01%,比2020年整年度貢獻度60.85%還高,獨霸台股,相較第二名、第三名的鴻海、聯發科貢獻度8.04%、2.71%,表現天差地遠。 第二個紀錄是股價漲幅,統計至14日為止,台積電今年來股價漲幅76.22%,將超越2020年漲幅60.12%,居歷年之冠。2020年當年利多為英特爾外包給台積電、汽車晶片缺貨、供應鏈斷鏈,今年新增的利多為輝達推動的AI基礎建設投資熱潮,使得台積電對台股的重要性再度攀高。 李學詩指出,世界未來的機會靠AI,硬體的核心價值除了輝達,就是台積電,AI現在才剛進入建置階段,2025年的發展將更可觀。 第三、第四個紀錄為14日台積電市值27.09兆元,超越2023年台灣名目GDP總額23.57兆元以及對台股重要性超越三星之於韓股,14日台積電占台股市值比重36.959%,逼近37%,台積電技術甩開三星電子追趕,高階製程訂單滿載,表現出色,反觀三星電子今年來股價跌超過23%。 第五個紀錄是營收、繳稅、用電量居台灣之冠。全球都依賴台積電提供晶片,今年前九月合併營收比去年提早一季突破2兆元、達2.02兆元,年增31.87%;同時2023年繳稅金額占全國超過一成、達10.60%;用電量也是全國第一大,估今年用電量約占台灣8%,公司預期2030年將會達到11~12%,暗示未來業績更好。
新聞日期:2024/10/14 新聞來源:經濟日報/聯合報

管制PFAS 環團:源頭才重要

PFAS有致癌風險 飲用水水質標準預告修正【台北報導】環境部八月底預告修正「飲用水水質標準」,增訂全氟烷基物質(PFAS)的水質標準及相關管理規定,昨召開草案研商會議。環團、消費者團體、台灣自來水公司皆表示,光管理後端的飲用水,成效有限,應從PFAS使用源頭進行必要管制。 全氟烷基物質(PFAS)屬持久性有機汙染物,對人體健康有致癌風險,為此,環境部八月底預告修正飲用水水質標準,淨水處理設備相關單位於明年起,兩年半內強化自主檢測管理等;二○二七年七月起,將加強抽驗並對不符合飲用水水質「PFAS」標準裁處六萬至六十萬元罰鍰。 環境部昨召開草案研商會議。消基會檢驗長凌永健提醒,不該只從末端的淨水場管理,源頭如製程、放流水、機場等也應一併透過水汙法加強管制。 台灣乾淨水行動聯盟理事長彭桂枝則提到,半導體產業製程運用全氟化合物,但管制上卻慢很多拍,應先揭露科學園區周邊水體汙染情況,建議設計讓汙染者及使用者負擔相對應的成本。 爭好氣聯盟發起人許心欣則說,修正草案標準不夠嚴,也不夠一步到位。科學園區內很多廠商都使用PFAS,應針對科學園區放流水檢測、管制,並加速實施食材、包材等相關民生、食安用品禁用、限用PFAS相關法規。 台水公司代表說,源頭管制才是重點,像是澎湖淨水場受鄰近機場演訓的消防泡沫影響才超標,呼籲政府要從源頭做有效管制,否則後續都推到淨水處理,不但耗費成本,承擔風險也更高。各國檢驗標準差異大,應正視台灣本土情況。 環境部水保司司長王嶽斌回應,雖然草案訂為二○二七年達標,但法規公告後就會開始查驗,將優先查驗機場鄰近三公里的淨水場。加嚴管制也是環境部後續對準的路徑跟目標,針對環團建議的課徵水汙費,會再研議考慮。 【2024-10-12/聯合報/A6版/生活.考公職】
新聞日期:2024/10/14 新聞來源:工商時報

AI也來搶 台積3奈米大爆單

台北報導 台積電3奈米產品將迎接爆單。法人盤點2025年新產品發現,智慧型手機晶片多以3奈米先進製程打造,其中蘋果A19 pro預計採用N3P製程,預估安卓陣營將以相同策略跟進,同時,AI加速器的兩大龍頭業者輝達、AMD,明年下半年推出的新品也將以3奈米打造,支撐台積電業績。 據AMD最新產品路線圖,MI350系列將以3奈米先進製程打造,正式將AI加速器領入更先進製程領域。法人表示,輝達R系列GPU也會以3奈米打造,但要等到2026年推出,加上智慧型手機龍頭蘋果明年A19晶片仍將以3奈米製程打造,大餅都將由台積電吃下。 法人並透露,明年輝達於台積電訂單數量會超過今年,3/5奈米產能因此會進一步緊張。聯發科與輝達合作的AI PC晶片,外傳以3奈米打造,更增添產能吃緊的疑慮,該產品預估於明年第二季亮相、第三季量產。另外,Intel Lunar Lake也為3奈米,多數產品會委由台積電生產。 孰悉市場動向的業者說,AI晶片加入3奈米戰局,會更耗費晶圓代工的產能,尤其是先進封裝部分。以目前輝達B200、AMD的MI300或Intel的Gaudi 3來看,CoWoS封裝達台積電光罩尺寸的3.3倍,只能切出約16顆晶片,未來朝5.5倍邁進,能切出的晶片數量將變得更少。 台積電曾指出,3奈米放量將稀釋毛利率,然而第二季已有顯著進步的學習曲線,預計第三季表現更亮眼、第四季挑戰55%的高標。法人分析,N3P現階段的PPA表現優於Intel 20A,從市占率來看,相較於N5的7成,台積電在智慧型手機SoC和HPC市場上的3奈米市占,則接近100%,顯示台積電將在全球HPC市場具有更大影響力。
第 1 頁,共 248 頁
×
回到最上方